Abstract
Purpose
Bumpless Cu/SiO2 hybrid bonding, which this paper aims to, is a key technology of three-dimensional (3D) high-density integration to promote the integrated circuits industry’s continuous development, which achieves the stacks of chips vertically connected via through-silicon via. Surface-activated bonding (SAB) and thermal-compression bonding (TCB) are used, but both have some shortcomings. The SAB method is overdemanding in the bonding environment, and the TCB method requires a high temperature to remove copper oxide from surfaces, which increases the thermal budget and grossly damages the fine-pitch device.
Design/methodology/approach
In this review, methods to prevent and remove copper oxidation in the whole bonding process for a lower bonding temperature, such as wet treatment, plasma surface activation, nanotwinned copper and the metal passivation layer, are investigated.
Findings
The cooperative bonding method combining wet treatment and plasma activation shows outstanding technological superiority without the high cost and additional necessity of copper passivation in manufacture. Cu/SiO2 hybrid bonding has great potential to effectively enhance the integration density in future 3D packaging for artificial intelligence, the internet of things and other high-density chips.
Originality/value
To achieve heterogeneous bonding at a lower temperature, the SAB method, chemical treatment and the plasma-assisted bonding method (based on TCB) are used, and surface-enhanced measurements such as nanotwinned copper and the metal passivation layer are also applied to prevent surface copper oxide.
Keywords
Citation
Li, G., Kang, Q., Niu, F. and Wang, C. (2023), "Recent progress on bumpless Cu/SiO2 hybrid bonding for 3D heterogeneous integration", Microelectronics International, Vol. 40 No. 2, pp. 115-131. https://doi.org/10.1108/MI-07-2022-0121
Publisher
:Emerald Publishing Limited
Copyright © 2022, Emerald Publishing Limited
1. Introduction
Although Moore’s law has led to the extensive development of the integrated circuits (ICs) industry since 1965 (Moore, 2006), ultradense integration is entrusted with heavy responsibility for the effectuation of high-performance, high-speed and high-intelligence devices, such as complementary metal oxide semiconductor image sensors, high-bandwidth memory and dynamic random access memory devices (Park et al., 2020c; Okada et al., 2021; Lee, 2015). An example of a three-dimensional (3D) IC chip is shown in Figure 1(a) (Shulaker et al., 2017), and a 3D electronic system is illustrated in Figure 1(b) (Liu et al., 2019). Advanced packaging, especially 3D integration technology based on the heterogeneous bonding method, vertically connects chip stacks and effectively reduces the packaging area (Ko and Chen, 2012; Tang et al., 2012; Chen et al., 2019; Panigrahy and Chen, 2018; Chua and Tan, 2016).
A variety of metal bonding methods are available. Solder bonding methods using SnPb solder, Pb-free solder and copper pillars are applied early in the solder bump connection of the flip-chip packaging. For higher high-temperature stability and finer-pitch interconnects, solid–liquid interdiffusion (SLID) (including Cu-Sn [Bosco and Zok, 2004; Bosco and Zok, 2005; Duan et al., 2015; Luu et al., 2013]) and solid–state diffusion bonding (including lead-free solder, Au-Sn [Wang et al., 2007] and Sn-Ag-Cu [Higurashi et al., 2014]) methods have been developed. Considering better anti-electromigration, electrical and thermal performance, copper direct bonding draws lots of attention.
Different fine-pitch interconnect bonding methods are contrasted, as shown in Figure 1(c) (Liu et al., 2021a), involving SLID bonding, anisotropic conductive film (ACF) bonding and metal/dielectric hybrid bonding (Lu et al., 2019; Tsai et al., 2019; Tang et al., 2018; Guangming et al., 2017; Kim et al., 2013; Nghiem et al., 2018). SLID faced reliability problems of underfill and solders, with intermetallic compounds appearing in the bonding process, for instance. ACF with conductive particles is applied to the flexible printed circuits on glass (Guangming et al., 2017) technology and bonding between printed circuit boards (PCBs) and flexible PCBs (Kim et al., 2013), but ACF also encounter the reliability issues. The metal/dielectric hybrid bonding technique joins two planarized and patterning wafers, including metal with promising electric performance (copper) and stable dielectric (SiO2 and polymer). A Cu/SiO2 structure hybrid direct wafer bonding is applied for ultrafine pitch layer-to-layer connection by through-silicon via without any microbump and underfill, and examples are illustrated in Figure 1(d) (Suga and Mu, 2018) and 1(e) (Kim et al., 2022). Cu/SiO2 hybrid bonding has been considered a breakthrough method for continuing Moore’s law in recent decades.
Hybrid bonding technology is applied at different positions in the IC industry. In the “front-end” process, which occurs in wafer foundries, Cu/SiO2 hybrid bonding is widely used to make 3D-stack ICs in a cleanroom environment. In the “back-end” process, operated by the outsourced semiconductor assembly and testing factories, adhesion/Cu hybrid bonding is capable of avoiding current reliability problems by replacing the microbump and underfill, which is a part of advanced 3D packaging.
Cu/SiO2 hybrid bonding involves three key issues: copper-to-copper bonding, SiO2-to-SiO2 bonding and copper-to-SiO2 bonding. First, copper-to-copper bonding is deemed as the core part of hybrid bonding because of the electric interconnection achieved by the metallic joint. Mechanical support and electrical insulation are provided by SiO2-to-SiO2 bonding, which is also widely studied. Misalignment between copper and SiO2 born in the hybrid bonding process is considered unavoidable in common, which results in a heterogeneous interface. Moreover, copper may diffuse to SiO2 in copper-to-SiO2 heterogeneous interfaces. If a single process can simultaneously dispose of or activate both copper and SiO2 surfaces, Cu/SiO2 hybrid bonding can be achieved in the same process. Thus, processes toward hybrid pattern samples are also investigated in this chapter. The following is an overview of the Cu/SiO2 hybrid bonding methods.
2. Surface-activated bonding method
Surface-activated bonding (SAB) as a room temperature (RT) bonding method was developed by Suga et al. in 1992 (Suga et al., 1992). Fast Ar atom beams or ion beams are commonly used to bombard the smooth surfaces before bonding in an ultrahigh vacuum (UHV, <10−6 Pa), in which contamination and native oxides can be removed. The UHV environment inhibits reoxidation and recontamination on the surfaces. Chemical bonds can be formed between the cleaned surfaces even without using heat. Thus, there is no need to heat the bonded pair to enhance the bonding interfaces. The SAB method is capable of bonding many materials at RT, including metal-to-metal, metal-to-semiconductor and semiconductor-to-semiconductor materials, e.g. Al, Si3N4 (Suga et al., 1992), Si (Takagi et al., 1996) and SiC (Mu et al., 2016). The excellent copper-to-copper interface is bonded by the SAB method, and the transmission electron microscope (TEM) image (Suga and Mu, 2018) is illustrated in Figure 2(a). Visible diffusion and grain boundary growth are not observed, meaning that the SAB method is less dependent on copper diffusion. Moreover, Shigetou et al. (2008) successfully investigated bumpless Cu electrodes in a 6-μm pitch with the SAB method, observed by the cross-sectional scanning electron microscopy (SEM) [Figure 2(b)] without an obvious gap at the interface.
As shown in Figure 2(c), Takagi et al. (2008) described the mechanism of the SAB method. The Ar-beam etching effect on the surface oxide layer plays a key role. Not only SiO2-to-silicon bonding after Ar beam etching but also SiO2-to-SiO2 bonding is challenging to achieve. For the benefit of compatibility with SiO2 direct bonding, Shigetou and Suga (2012) developed a vapor-assisted SAB method to achieve Cu, SiO2 and polyimide homogeneous and heterogeneous bonding. To introduce water onto the activated interface, wafers are exposed to an 8 g/m3 absolute humidity environment. Bridging layers formed by copper hydroxide hydrate are found by TEM measurement, as shown in Figure 2(d). A low electrical resistivity of approximately 4 × 10−8 Ω·m is carried out. To reduce system complexity, the Ar fast atomic beam and UHV environment are displaced by the ultraviolet/vapor-assisted method (Shigetou et al., 2012), accompanied by bridging layers at the interface.
To bond SiO2-SiO2 and Cu-Cu simultaneously, He et al. (2015,2016a, 2016b, 2016c) developed a combined SAB method, and the process diagram is illustrated in Figure 2(e). A schematic diagram of the SiO2 surface and copper surface is shown in Figure 3(a) and 3(b). First, wafer samples are activated and bombarded by a Si atom-containing Ar ion beam, inducing surface oxide and a contamination layer stripping as well as Si atoms-planting or sputtering [see Figure 3(c)]. Deionized water is used to expose the wafer to a sufficient water environment because hydrophilic activation is effective for SiO2 homogenous bonding. After ample water and hydroxyl groups are absorbed on the wafer surface and subsurface, wafer pairs are attached and then detached to control the amount of water within a reasonable range, leaving -OH groups on Si sites. Hybrid bonding samples are treated mostly by chemical mechanical polishing (CMP) for planarization, which leads to a certain distance (known as “dishing”) between SiO2 and the copper surface on a single wafer [see Figure 3(d)]. The last step of combined SAB is postbonding annealing in air at 200°C, with a dehydration condensation reaction of -OH groups. The Cu-Cu bonding pairs are estimated in the tensile test to be approximately 24 MPa, and an optical image of the fractured interfaces is illustrated in Figure 3(e). The image shows that all fractions occur on the Si bulk and Si-Ti interface, and the Cu-Cu bonding is proved very strong. Furthermore, the TEM image of the copper-to-copper bonding with annealing shows that no Si buffer layer is found at the bonding interface, with the low-O interface and a thin CuOx (O-rich) layer, as illustrated in Figure 4(a).
Although the SAB method is believed to be an extraordinary approach to carrying out the fine-interface copper-to-copper direct bonding at RT without high-temperature annealing, UHV is still too demanding and expensive to favor commercialization. It is also truly difficult to bond SiO2-to-SiO2 without a Si interlayer.
UHV cost is not the only obstruction to bringing the SAB method to mass production. The core shortcoming of the SAB method is the conflict with the SiO2-SiO2 bonding mechanism. The SAB method removes the oxide layer and prevents reoxidation to bond metal, which antipodal does not work in SiO2-SiO2 bonding because SiO2 itself is one kind of oxide. To be compatible with SiO2-SiO2 bonding, hybrid bonding with the SAB method must develop many assisting means, which greatly increases the overall complexity, especially in the UHV environment because hydrophilicity just appears in the atmosphere because of –OH groups. Frequently in and out of the UHV environment may bring many risks because the queue time between every bonding step must be exercised with strict control over where the pump-down time will be relatively longer than the pump-down time in a normal vacuum environment. The increasing complexity of the UHV system hampers its commercialization for mass production. Despite all these circumstances, the SAB method can feasibly be applied to hybrid bonding by replacing SiO2 with other dielectric materials.
3. Wet treatment and plasma-assisted bonding
Another available method of copper-to-copper bonding is thermocompression bonding (TCB). Copper wafers are bonded and annealed at approximately 400°C to remove copper oxide (Rebhan et al., 2013), and Cu atom interdiffusion achieves grain growth and interface disappearance (Chen et al., 2002; Chen et al., 2003). Excessively high temperatures (∼400°C) damage the electronic circuit structure and cause the potential failure (Tang et al., 2012). Therefore, the elimination of bonding oxide is considered to be the primary task to reduce the temperature to a sound range (∼200°C). Wet chemical treatment on the wafer surface, as well as plasma activation and etching, are two significant methods to innovate the hybrid bonding technology.
Wet treatment on the Cu wafer surface is capable of effective removing of oxide on the copper surface to reduce the bonding temperature and mounting enough hydroxyl on the surface of SiO2. Chen et al. (2005) cleaned copper wafers with hydrochloric acid (HCl), and HCl transformed CuO and Cu2O into CuCl42−. The experimental results indicate that hydrochloric acid removes copper oxide but with increasing surface roughness. Hung et al. (2021) horizontal contrast acetic acid (CH3COOH), hydrochloric acid, citric acid (C6H8O7) and sulfuric acid (H2SO4). Citric acid and acetic acid can react with CuO and Cu2O to yield Cu3(C6H5O7)2 and Cu(CH3COO)2, respectively, and sulfuric acid converts copper oxide to CuSO4. The best bonding interface quality is carried out by citric acid pretreatment, and the cross-sectional view of focused ion beam (FIB) analyses is shown in Figure 4(b). Sulfuric acid had the worst performance in this research. Jang et al. (2009) investigated about various acetic acid pretreatment conditions and confirmed that 5 min of acetic acid treatment is enough to remove native Cu oxide. Rebhan et al. (2014) used 1 Wt.% citric acid to remove oxide without increasing the surface roughness and bonded Cu-Cu at 175°C with citric acid and Ar/H2 forming gas treatment. Formic acid (FA, HCOOH) is another acid that erases copper oxide with the special capability that HCOOH converts the oxide to Cu-HCOO and Cu-O-H, which may decompose to Cu. He et al. (2017a, 2017b) used H-containing HCOOH vapor and combined the SAB method to bond the Cu/adhesive hybrid samples at 180°C–200°C. Furthermore, the “Cu-first” bonding strategy is confirmed instead of the “adhesive-first” method [schematic diagram is illustrated in Figure 4(c)]. The temperature-time map of Cu bonding Figure 4(d) shows suitable HCOOH vapor treatment conditions. Formic acid shows excellent performance.
To further clarify the confusing problem about the difference among bonding methods and distinguish between some concepts prone to ambiguity, the following explanation is made. When we discuss bonding methods in this article, it is from the perspective of bonding principles (such as SAB, TCB and hydrophilic bonding). For Cu-Cu bonding, SAB and TCB are two main but different streams on the bonding mechanism aspect. For SiO2-SiO2 bonding, the main method is hydrophilic bonding because SAB and TCB do not work. When we discuss specific hybrid bonding processes, there are several nouns almost equivalent nouns, such as hybrid bonding, bumpless bonding and the direct bond interconnect method. These nouns all indicate the technological process of Cu-Cu bonding and SiO2-SiO2 bonding at the same interface. In this article, we collectively call this process “hybrid bonding” and “bumpless bonding.”
In terms of the mechanism of hybrid bonding, SiO2-SiO2 is bonded first (called the “SiO2-first” strategy), and when the temperature ramps up, Cu-Cu is bonded later because of the dishing between Cu and the SiO2 surface. Hydrophilic bonding of SiO2-SiO2 does not rely on bonding compression, but the TCB of Cu-Cu requires bonding compression. Once the temperature ramps up after SiO2-SiO2 bonding, bulging out copper and constraints of the overall structure could provide compressive stress to copper bonding. Thus, there is no need to put an external compression force on the wafer in the mass production of hybrid bonding (also called “hybrid bonding without compression”). For the purpose of stable bonding, some researchers still apply compressive stress to ensure that Cu-Cu bonding is completed (including the papers mentioned above and below), and the whole process is also called TCB too (also called “hybrid bonding with compression”), which is the same as the Cu-Cu bonding method. Because this review is more from the principle to describe the bonding technology, the “TCB” is used to define the copper bonding mechanism instead of an atypical hybrid bonding convention in this article.
Plasma contains ions and radicals with etching, activation and cleaning functions. With plasma treatment, contamination on the wafer surface is eliminated, surface hydrophilicity is enhanced and the surface energy increases. The plasma-assisted bonding (PAB) method involves plasma surface prebonding treatment, bonding at RT and atmosphere instead of UHV, annealing the bond at a lower temperature, and the schematic image is shown in Figure 4(e) (Chua et al., 2019). Wang et al. (2008, 2018b), Kang et al. (2020) and Wang et al. (2017, 2018a) bonded SiO2, SiC, LiNbO3 and quartz glass by the PAB method, with O2, N2, Ar and Ar/H2 plasma used. To adsorb the appropriate density of hydroxyl on the SiO2 surface and effectively remove oxide on the copper surface, plasma with an etching function (such as Ar and N2 gas) is applied in the PAB process. Tan (Hu et al., 2020) used the PAB method with Ar/N2 plasma to bond Cu-Cu in the ambient environment, and daisy chain structures were successfully bonded with this process [as illustrated in Figure 5(a)]. Metastable CuxNy, which is more hydrophilic than bare Cu, was induced by Ar/N2 plasma in their research. Park et al. (2020b), Seo et al. (2020), Park et al. (2020a) and Seo et al. (2019) researched Ar, N2 and Ar-N2 two-step plasma to bond Cu-Cu wafers and Cu/SiO2 hybrid wafers. Remote mood plasma is applied. X-ray photoelectron spectroscopy (XPS) is used to analyze the surface chemical situation, and the copper nitride passivation layer is found to form likewise. Cu2p3/2, O1s and N1s XPS analyses [Figure 5(b)] show that copper oxidation is effectively prevented, most oxygen is in hydroxyl groups because of copper nitride passivation, and the copper nitride is confirmed to be Cu4N. Figure 5(c) shows copper nitride specimens exposed to an atmospheric environment for 7 days, and no Cu2O is found in this experiment. To reveal the principle of Cu4N passivation layer formation, the standard enthalpy of Cu2O, Cu3N and Cu4N formation is shown in Figure 5(d), and Cu2O is demonstrated to be stable and hard to decompose because of its negative enthalpy. Copper homogeneous bonding at 300°C is achieved, and the scanning acoustic microscope (SAM) and SEM images are illustrated in Figure 6(a). The bonding area increases, and the bonding interface quality is enhanced. The mechanism of the passivation layer antioxidant function in the bonding process is explained in the schematic image in Figure 6(b). Cu4N can decompose into pure copper and N2 below 300°C, preventing oxide at interface production after Ar plasma etching and significantly improving bonding quality.
Because both wet chemical treatment and plasma activation could help copper surface oxygen passivation and SiO2 surface hydrophilization to enhance bonding quality and widen the bonding process window, Kang et al. (2021b, 2021a) developed a two-step cooperative activation method to highly qualitatively bond Cu/SiO2 hybrid samples and devices, and a schematic diagram of the process flow is shown in Figure 7(a). Kang activated copper, SiO2 and hybrid samples by HCOOH (FA) immersion and Ar/O2 plasma, or FA → Ar/O2 and Ar/O2 → FA two-step cooperative activation methods, followed by TCB at single-side 200°C. Effective bonding is realized. The cross-section TEM image and the number of voids at the interface of copper-to-copper bonding after Ar/O2 → FA and FA → Ar/O2 treatment are illustrated in Figure 7(b) and 7(c), respectively. A functional structure, namely, an active −OH layer, is established on the copper surface after both two activation processes, and optimized FA → Ar/O2 activation is confirmed, although oxide-free voids and zigzag interfaces without O enrichment are still observed. The SiO2 homogenous bonding is also achieved by the same methods, and the cross-section image Figure 7(d) indicates that the Ar/O2 → FA treatment SiO2-to-SiO2 interface contains a carbon-rich interlayer of approximately 8.2 nm thickness, which is possibly formed by HCOO− groups on the SiO2 surface. The FA → Ar/O2 activated bonding surface obtains only some carbon clusters. The surface activation mechanisms of the two cooperative methods are shown in the schematic diagram in Figure 8(a). After FA → Ar/O2 surface activation, a -OH termination layer dominates on the copper surface instead of bare copper or oxide, and the SiO2 surface is terminated by enough -OH groups rather than -OOCH groups after the FA → Ar/O2 process. The FA → Ar/O2 cooperative surface activation strategy is used to bond a hybrid bonding device, and few microvoids are found. The TEM image of the hybrid device is illustrated in Figure 8(b).
Plasma treatment and other treatments applied in the hybrid bonding process also need a vacuum chamber, and the vacuum pump is not exclusive to the SAB method. The vacuum used in plasma and other treatments serves a low degree of vacuum, and the vacuum in the SAB process is relatively higher than the vacuum in plasma, on the contrary. Vacuum is a shared issue in the semiconductor industry.
4. Nanotwinned Cu and metal passivation layer
Among various grain orientations, highly (111)-oriented Cu surfaces are demonstrated to have the fastest diffusivity according to research by the Chen group (Liu et al., 2014; Shie et al., 2020). The bonding temperature of Cu-Cu was reduced to 200°C, with the TEM image shown in Figure 8(c). Cu atoms diffuse to the cavity region from the high compressive region. Nanotwinned copper fabricated by electrodeposition is used to obtain <111>-oriented grains, for the benefit of ultrafast diffuse speed (Juang et al., 2018). A resistance measurement test vehicle is designed as illustrated in Figure 8(d), and the locations of Kelvin structures are labeled. Nanotwinned Cu microbumps were analyzed by FIB and the electron backscattered diffraction (EBSD) result is shown in Figure 8(e), Bonding at 300°C for 60 s was achieved. Chiu et al. (2021, 2020) achieved wafer-to-wafer hybrid bonding by nanotwinned copper. Nanotwinned Cu hybrid structures are illustrated in Figure 9(a), and the atomic force microscope (AFM) topology test of nanotwinned Cu hybrid bumps is carried out and illustrated in Figure 9(b). High <111>-preferred orientation hybrid wafers are bonded, and the TEM observation [Figure 9(c)] shows that 75% of grains on the bonding interface are the <111>grains. Wafer-level TCB at 200°C in 1 h is successfully implemented, and the SAM image [Figure 9(d)] shows that most areas are bonded in high quality. Chen’s newest research (Ong et al., 2022) measured the nanotwinned Cu hybrid bonding interface contact resistance of 1.2 × 10−9 Ω·cm2, and SEM observation Figure 10(a) was carried out to determine the bonding states of Cu-Cu joints and SiO2 dielectrics. To further reduce the temperature from 200°C to 150°C, Chen fabricates a gold cap on the nanotwinned Cu wafer surface for the prevention of oxidation generation (Wu and Chen, 2020), but still in a vacuum. The electron beam image of the bonding interface at 200°C in the N2 atmosphere is shown in Figure 10(b), and columnar grains dominate the interface. Cu grains have been proven to be 50% harder and 40% more strained in research by Murugesan (Murugesan et al., 2021).
The thermal budget caused by CuOx seriously restricted the descent in bonding temperature. Thus, preventing oxidation generation before and in the TCB process via a metal passivation layer is possible. Chen (Hong et al., 2022; Liu et al., 2020; Tsai et al., 2020) systematically investigated metal passivation, involving aurum, platinum and titanium. The devices with gold passivation and Pt passivation layers are observed by cross-section TEM, and high resolution (HR)-TEM images with fast Fourier transform (FFT) images are shown in Figure 10(c). HR-TEM images of devices after annealing at 180°C for 60 s are illustrated in Figure 10(d). Diffusion, amorphous copper formation and crystallization are proven. In Figure 10(e), bonded samples with Au and Pt passivation layers are observed by TEM. Cu atoms diffuse via the passivation layer, and polycrystalline at the interface prevents the voids from ripening and enlarging. The mechanism of passivated-Cu bonding is explained in the schematic diagram in Figure 11(a); there are four steps to achieve bonding: passivation layers contact, copper atoms diffuse, copper recrystallization occurs and polycrystalline copper grains grow. Different capabilities of passivation materials are compared in Figure 11(b), and wafer-level bonding sample SAM images are shown in Figure 11(c). Au passivation is applied in research (Liu et al., 2021a; Noma et al., 2018), and the hybrid bonding process with Au-passivated Cu and diluted hydrofluoric acid-treated SiO2 is demonstrated and illustrated in Figure 11(d). The bonding temperature is decreased to 120°C, although the fabrication process is extremely complicated and demanding. Noma used direct immersion gold to compensate for Cu surface roughness, and the sample was bonded at 350°C. Liu et al. (2021b) used a 10-nm Pt layer to bond copper-to-copper, and a well-designed Kelvin structure was bonded to achieve a daisy chain structure, as illustrated in Figure 11(e). Kuwae et al. (2020) developed quasi-direct Cu-Cu bonding with a Pt interlayer via the atomic layer deposition method, and the process schematic diagram is shown in Figure 11(f). The Pt layer enhances the homogenous copper bonding quality.
There is another method to bond metals and even dielectrics called atom diffusion bonding (ADB), developed by Tohoku Univ. Many easily bonded metal layers (such as Cu-Cu, Ti-Ti and Au-Au [Baudin et al., 2013; Gueguen et al., 2009; Shimatsu et al., 2014]) are deposited on metal, silicon or SiO2 surfaces and bonded them together through the metal layer at a high-degree vacuum where the film is deposited. With this technology, the same and even different materials could be bonded at a relatively low temperature, and the heterogeneous bonding case is so-called “hybrid bonding.” However, the “hybrid bonding” achieved by the ADB is different from the hybrid bonding discussed in this article because the hybrid bonding in this review focuses on the mutual independent and homogeneous bonding of SiO2 and Cu, but the ADB method connects all materials together, resulting in a short circuit. The ADB method may be used in the future after modification.
Although nanotwinned copper and the metal passivation layer are theoretically meaningful, two improvement methods must face two engineering issues directly. First, nanotwinned copper technology and a metal passivation layer cannot be compatible with the copper damascene process. An additional lithography step and a low-controllable CMP process for metal passivation layers make it difficult to apply in reality. The two methods are limited by their relatively complex process, in the present stage at least, which means the two methods cannot be applied to the finer pitch. Highly <111>-oriented copper is used to manufacture RDL (for packaging) with 2-μm pitch (Tseng et al., 2020), for example. However, the Cu/SiO2 structure is more suitable for 3D-IC stacking at the front-end process (high clean class) instead of 3D packaging at the back-end process (low clean class) because of its low tolerance to particles. By and large, nanotwinned Cu and metal passivation technology still require improvement.
5. Conclusions
The Cu/SiO2 hybrid bonding is demonstrated as a reliable method to carry out high-density integration, although the thermal budget acts as a severe obstruction in the bonding process. To achieve heterogeneous bonding at a lower temperature, the SAB method, chemical treatment and the PAB method (based on TCB) are used, and surface-enhanced measurements such as nanotwinned copper and the metal passivation layer are also applied to prevent surface copper oxide. Among the different bonding methods, SAB has the lowest thermal budget and the highest bonding quality but has the highest environmental requirement as well, UHV, which greatly enhances the bonding cost. TCB is capable of achieving Cu-Cu bonding in the atmosphere, but a higher bonding temperature is needed. Wet chemical treatment before bonding, especially HCOOH (FA) and C6H8O7 (citric acid), could remove the copper oxide to reduce the process temperature. Plasma treatment has a similar antioxide effect. The combined method of wet treatment and PAB is carried out at 200°C, with acceptable cost and an undemanding pressure environment. Nanotwinned copper is found beneficial for further lowering the temperature, and the Au passivation layer could prevent oxidation in all stages of bonding to reduce the temperature to 120°C with an additional lithography process, which both play a very important role if combined with the SAB method and the low-temperature TCB method. Among the methods mentioned in this article, the PAB method with wet treatment is regarded as the bonding strategy with the most potential to achieve higher-pitch heterogeneous integration. Because the SAB method is contradictory to the SiO2-SiO2 bonding mechanism, it is difficult to develop a simple and effective process flow to achieve hybrid bonding with the SAB method. Nanotwinned Cu and the metal passivation layer are incompatible with the damascene process, which means that the technology is not available in mass production. Hybrid bonding is promising and provides new solutions for heterogeneous integration, 3D high-density packaging and novel interconnection frameworks to enhance communication bandwidth and chip computing power in the future.
In the future, Cu/SiO2 hybrid bonding or metal/dielectric hybrid bonding have some important issues that must be addressed, including the bonding process, materials and hybrid bonding reliability problem. First, for the bonding process, hybrid bonding with plasma and HOOCH treatment induces carbon-containing defects growing at the Cu-Cu bonding interface, which may impede interface conductivity. Changing HOOCH and organic acids to other processing means with activation ability is capable of changing the present situation. However, novel methods (passivation layer bonding, for example) to bond the hybrid wafer or chip also meet the engineering challenges. The standard damascene process is normally incompatible with an additional nanolayer. To achieve qualified bonding at a lower temperature with better electrical and mechanical performance, new methods should be extensively attempted.
For the bonding materials, metal and dielectric materials could be developed further to meet the needs of the advanced semiconductor industry. Alternative metal materials such as W, Co and Ru (Jourdain et al., 2022) have lower resistivity with smaller grain sizes and other special benefits. SiO2 could also be replaced by adhesion and developed into pores to meet the low-k demand because of the increasingly advanced physical limitations in advanced chip manufacturing.
Moreover, for the reliability problem, hybrid bonding may replace solder bump and underfill, which also means that without the stress-buffering underfill, the packaging structure faces the reliability problem again. To solve this problem, more simulation (Li et al., 2020; Ji et al., 2020c; Ji et al., 2019; Ji et al., 2020a; Ji et al., 2020b), material organization research (Panchenko et al., 2022; Panchenko et al., 2020; Kim et al., 2019) and new structure development work should be propelled in time.
Figures
Figure 1
(a) Nanosystem integrated into a single chip (Shulaker et al., 2017); (b) a multilayer 3D electronic system (Liu et al., 2019); (c) schematic diagram to comparing three interconnection technologies (SLID bonding, ACF bonding and metal/dielectric hybrid bonding) (Liu et al., 2021a); (d) ultra-high pitch hybrid bumpless direct bonding structure (Suga and Mu, 2018a); (e) a hybrid bonding process flow (Kim et al., 2022)
Figure 2
(a) TEM image of the Cu-Cu interface bonded by the SAB method (Suga and Mu, 2018); (b) the SEM image of 6-μm-pitch copper electrodes (Shigetou et al., 2008); (c) schematic diagram of the SAB method (Takagi et al., 2008); (d) the TEM image of the copper interface and bridging layer (Shigetou and Suga, 2012); (e) schematic diagram of the combined SAB method process (He et al., 2016c)
Figure 3
Combined SAB schematic diagram of: (a) the SiO2 surface (He et al., 2016a) and (b) the copper surface (He et al., 2016a); (c) the surface treatment principle diagram of SiO2 and Cu (He et al., 2016a); (d) schematic diagram of hybrid bonding by the combined SAB method (He et al., 2016a); (e) the fractured interfaces after the tensile test (He et al., 2015)
Figure 4
(a) TEM image of Cu-Cu bonding without a Si layer (He et al., 2016b); (b) cross-sectional FIB analyses of Cu-Cu bonding treated by citric acid (Hung et al., 2021); (c) schematic diagram of the “adhesive-first” bonding strategy (He et al., 2017a); (d) copper bonding temperature-time map (He et al., 2017a); (e) schematic diagram of the PAB method treatment (Chua et al., 2019)
Figure 5
(a) Schematic diagram of the daisy chain structure bonded by the PAB method (Hu et al., 2020). The XPS analysis of (b) copper nitride (Park et al., 2020a); (c) and the XPS analysis after the atmospheric environment was exposed (Park et al., 2020b). (d) the standard enthalpy of copper oxides and copper nitrides (Park et al., 2020a)
Figure 6
(a) Scanning acoustic tomography (SAT) image and the field emission (FE)-SEM image of Cu-Cu bonding (Park et al., 2020a); (b) the mechanism of the antioxidant bonding process (Park et al., 2020a)
Figure 7
(Kang et al., 2021b) (a) Process flow schematic diagram of hybrid bonding and the homogeneous interface TEM images of (b) Ar/O2 → FA method for Cu-Cu bonding; (c) FA→ Ar/O2 method for Cu-Cu bonding; (d) SiO2- SiO2 bonding
Figure 8
(a) Schematic diagram of the cooperative activation mechanism on the copper and SiO2 surface (Kang et al., 2021b); (b) the TEM image of the hybrid device bonded by the FA → Ar/O2 treatment (Kang et al., 2021b); (c) highly (111)-oriented copper TEM image (Liu et al., 2014); (d) a test vehicle for resistance measurement (Shie et al., 2020); (e) The FIB analysis and EBSD results of nanotwinned copper microbumps (Shie et al., 2020)
Figure 9
(a) Hybrid structure of the nanotwinned Cu/SiO2 sample (Chiu et al., 2020); (b) the AFM result of nanotwinned copper hybrid bumps (Chiu et al., 2020); (c) the TEM image of the copper bonding interface (Chiu et al., 2021); (d) the SAT image of the wafer bonding sample after the TCB process (Chiu et al., 2021)
Figure 10
(a) SEM observation of the hybrid bonding sample (Ong et al., 2022); (b) the bonding interface electron beam image (Wu and Chen, 2020), and the HR-TEM images, TEM images and FFT images of the passivation layer after (c) bonding; (d) annealing; (e) crystallization (Hong et al., 2022)
Figure 11
(a) Schematic diagram of the passivation-assisted bonding mechanism (Hong et al., 2022); (b) comparison of passivation materials (Liu et al., 2020); (c) the SAT images of wafer sample bonding (Liu et al., 2020); (d) the Au passivation hybrid bonding process (Liu et al., 2021a); (e) a daisy chain structure bonded with a 10-nm Pt layer (Liu et al., 2021b); (f) quasi-direct homogeneous bonding achieved by the Pt layer (Kuwae et al., 2020)
References
Baudin, F., Di Cioccio, L., Delaye, V., Chevalier, N., Dechamp, J., Moriceau, H., Martinez, E. and Bréchet, Y. (2013), “Direct bonding of titanium layers on silicon”, Microsystem Technologies, Vol. 19 No. 5, pp. 647-653, doi: 10.1007/s00542-012-1664-0.
Bosco, N.S. and Zok, F.W. (2004), “Critical interlayer thickness for transient liquid phase bonding in the Cu–Sn system”, Acta Materialia, Vol. 52 No. 10, pp. 2965-2972, doi: 10.1016/j.actamat.2004.02.043.
Bosco, N.S. and Zok, F.W. (2005), “Strength of joints produced by transient liquid phase bonding in the Cu–Sn system”, Acta Materialia, Vol. 53 No. 7, pp. 2019-2027, doi: 10.1016/j.actamat.2005.01.013.
Chen, K.N., Fan, A. and Reif, R. (2002), “Interfacial morphologies and possible mechanisms of copper wafer bonding”, Journal of Materials Science, Vol. 37 No. 16, pp. 3441-3446, doi: 10.1023/A:1016554821201.
Chen, K.N., Fan, A., Tan, C.S. and Reif, R. (2003), “Temperature and duration effects on microstructure evolution during copper wafer bonding”, Journal of Electronic Materials, Vol. 32 No. 12, pp. 1371-1374, doi: 10.1007/s11664-003-0103-5.
Chen, K.N., Tan, C.S., Fan, A. and Reif, R. (2005), “Copper bonded layers analysis and effects of copper surface conditions on bonding quality for three-dimensional integration”, Journal of Electronic Materials, Vol. 34 No. 12, pp. 1464-1467, doi: 10.1007/s11664-005-0151-0.
Chen, M.-F., Chen, F.-C., Chiou, W.-C. and Yu, D.C.H. (2019), “System on integrated chips (SoIC(TM) for 3D heterogeneous integration”, 2019 IEEE 69th Electronic Components and Technology Conference (ECTC), pp. 594-599, doi: 10.1109/ECTC.2019.00095.
Chiu, W.-L., Chou, K.-W. and Chang, H.-H. (2020), “Nanotwinned copper hybrid bonding and wafer-on-wafer integration”, 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), pp. 210-215, doi: 10.1109/ECTC32862.2020.00045.
Chiu, W.-L., Lee, O.-H., Chiang, C.-W. and Chang, H.-H. (2021), “Low temperature wafer-to-wafer hybrid bonding by nanotwinned copper”, 2021 IEEE 71st Electronic Components and Technology Conference (ECTC), pp. 365-370, doi: 10.1109/ECTC32696.2021.00068.
Chua, S.L. and Tan, C.S. (2016), “Cu-Cu die to die surface activated bonding in atmospheric environment using Ar and Ar/N2 plasma”, ECS Transactions, Vol. 75 No. 9, pp. 109-116, doi: 10.1149/07509.0109ecst.
Chua, S.L., Chan, J.M., Goh, S.C.K. and Tan, C.S. (2019), “Cu–Cu bonding in ambient environment by Ar/N2 plasma surface activation and its characterization”, IEEE Transactions on Components, Packaging and Manufacturing Technology, Vol. 9 No. 3, pp. 596-605, doi: 10.1109/TCPMT.2018.2875460.
Duan, A., Luu, T.-T., Wang, K., Aasmundtveit, K. and Hoivik, N. (2015), “Wafer-level Cu–Sn micro-joints with high mechanical strength and low Sn overflow”, Journal of Micromechanics and Microengineering, Vol. 25 No. 9, p. 97001, doi: 10.1088/0960-1317/25/9/097001.
Guangming, N., Lin, L., Jing, Z., Juanxiu, L. and Yong, L. (2017), “Analysis of trapped conductive microspheres in LCD FOG anisotropic conductive film bonding”, 2017 IEEE 2nd Advanced Information Technology, Electronic and Automation Control Conference (IAEAC), pp. 1414-1420, doi: 10.1109/IAEAC.2017.8054247.
Gueguen, P., Di Cioccio, L., Gergaud, P., Rivoire, M., Scevola, D., Zussy, M., Charvet, A.M., Bally, L., Lafond, D. and Clavelier, L. (2009), “Copper direct-bonding characterization and its interests for 3D integration”, Journal of the Electrochemical Society, Vol. 156 No. 10, p. H772, doi: 10.1149/1.3187271.
He, R., Fujino, M., Suga, T. and Yamauchi, A. (2016c), “Combined surface activation bonding for Cu/SiO<inf>2</inf> hybrid bonding for 3D integration”, 2016 17th International Conference on Electronic Packaging Technology (ICEPT), pp. 884-888, doi: 10.1109/ICEPT.2016.7583271.
He, R., Fujino, M., Yamauchi, A. and Suga, T. (2015), “Combined surface-activated bonding technique for low-temperature Cu/SiO2 hybrid bonding”, ECS Transactions, Vol. 69 No. 6, pp. 79-88, doi: 10.1149/06906.0079ecst.
He, R., Fujino, M., Yamauchi, A. and Suga, T. (2016a), “Combined surface activated bonding technique for hydrophilic SiO2-SiO2 and Cu-Cu bonding”, ECS Transactions, Vol. 75 No. 9, pp. 117-128, doi: 10.1149/07509.0117ecst.
He, R., Fujino, M., Yamauchi, A., Wang, Y. and Suga, T. (2016b), “Combined surface activated bonding technique for low-temperature Cu/dielectric hybrid bonding”, ECS Journal of Solid State Science and Technology, Vol. 5 No. 7, pp. P419-P424, doi: 10.1149/2.0201607jss.
He, R., Fujino, M., Akaike, M., Sakai, T., Sakuyama, S. and Suga, T. (2017a), “Combined surface activated bonding using H-containing HCOOH vapor treatment for Cu/adhesive hybrid bonding at below 200°C”, Applied Surface Science, Vol. 414, pp. 163-170, doi: 10.1016/j.apsusc.2017.03.168.
He, R., Fujino, M., Akaike, M., Sakai, T., Sakuyama, S. and Suga, T. (2017b), “Cu/adhesive hybrid bonding at 180°C in H-Containing HCOOH vapor ambient for 2.5D/3D integration”, 2017 IEEE 67th Electronic Components and Technology Conference (ECTC), pp. 1243-1248, doi: 10.1109/ECTC.2017.13.
Higurashi, E., Kawai, H., Suga, T., Okada, S. and Hagihara, T. (2014), “Low-temperature solid-state bonding using hydrogen radical treated solder for optoelectronic and MEMS packaging”, ECS Transactions, Vol. 64 No. 5, pp. 267-274, doi: 10.1149/06405.0267ecst.
Hong, Z.J., Liu, D., Hu, H.W., Cho, C.I., Weng, M.W., Liu, J.H. and Chen, K.N. (2022), “Investigation of bonding mechanism for low-temperature Cu Cu bonding with passivation layer”, Applied Surface Science, Vol. 592, p. 153243, doi: 10.1016/j.apsusc.2022.153243.
Hu, L., Goh, S.C.K. and Tan, C.S. (2020), “Ar/N 2 plasma induced metastable Cu x N y for Cu-Cu direct bonding”, ECS Transactions, Vol. 98 No. 4, pp. 203-210, doi: 10.1149/09804.0203ecst.
Hung, T.H., Kang, T.C., Mao, S.Y., Chou, T.C., Hu, H.W., Chiu, H.Y., Shih, C.L. and Chen, K.N. (2021), “Investigation of wet pretreatment to improve Cu-Cu bonding for hybrid bonding applications”, 2021 IEEE 71st Electronic Components and Technology Conference (ECTC), pp. 700-705, doi: 10.1109/ECTC32696.2021.00121.
Jang, E.-J., Hyun, S., Lee, H.-J. and Park, Y.-B. (2009), “Effect of wet pretreatment on interfacial adhesion energy of Cu-Cu thermocompression bond for 3D IC packages”, Journal of Electronic Materials, Vol. 38 No. 12, pp. 2449-2454, doi: 10.1007/s11664-009-0942-9.
Ji, L., Che, F.X., Ji, H.M., Li, H.Y. and Kawano, M. (2019), “Modelling and characterization on wafer to wafer hybrid bonding technology for 3D IC packaging”, 2019 IEEE 21st Electronics Packaging Technology Conference (EPTC), pp. 87-94, doi: 10.1109/EPTC47984.2019.9026578.
Ji, L., Che, F.X., Ji, H.M., Li, H.Y. and Kawano, M. (2020a), “Wafer-to-wafer hybrid bonding development by advanced finite element modeling for 3-D IC packages”, IEEE Transactions on Components, Packaging and Manufacturing Technology, Vol. 10 No. 12, pp. 2106-2117, doi: 10.1109/TCPMT.2020.3035652.
Ji, L., Che, F.X., Ji, H.M., Li, H.Y. and Kawano, M. (2020b), “Bonding integrity enhancement in wafer to wafer fine pitch hybrid bonding by advanced numerical modelling”, 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), pp. 568-575, doi: 10.1109/ECTC32862.2020.00095.
Ji, H.M., Ji, L., Che, F.X., Li, H.Y., Chui, K.J. and Kawano, M. (2020c), “Wafer level high density hybrid bonding for high performance computing”, 2020 IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits (IPFA), pp. 1-5, doi: 10.1109/IPFA49335.2020.9260626.
Jourdain, A., Stucchi, M., van der Plas, G., Beyer, G. and Beyne, E. (2022), “Buried power rails and nano-scale TSV: technology boosters for backside power delivery network and 3D heterogeneous integration”, 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), pp. 1531-1538, doi: 10.1109/ECTC51906.2022.00244.
Juang, J.Y., Lu, C.L., Chen, K.J., Chen, C.C.A., Hsu, P.N., Chen, C. and Tu, K.N. (2018), “Copper-to-copper direct bonding on highly (111)-oriented nanotwinned copper in no-vacuum ambient”, Scientific Reports, Vol. 8 No. 1, p. 13910, doi: 10.1038/s41598-018-32280-x.
Kang, Q., Wang, C., Niu, F., Zhou, S., Xu, J. and Tian, Y. (2020), “Single-crystalline SiC integrated onto Si-based substrates via plasma-activated direct bonding”, Ceramics International, Vol. 46 No. 14, pp. 22718-22726, doi: 10.1016/j.ceramint.2020.06.036.
Kang, Q., Wang, C., Li, G., Zhou, S. and Tian, Y. (2021a), “Low-temperature Cu/SiO 2 hybrid bonding using a novel two-step cooperative surface activation”, 2021 22nd International Conference on Electronic Packaging Technology (ICEPT), pp. 1-5, doi: 10.1109/ICEPT52650.2021.9568007.
Kang, Q., Wang, C., Zhou, S., Li, G., Lu, T., Tian, Y. and He, P. (2021b), “Low-temperature Co-hydroxylated Cu/SiO2 hybrid bonding strategy for a memory-centric chip architecture”, ACS Applied Materials & Interfaces, Vol. 13 No. 32, pp. 38866-38876, doi: 10.1021/acsami.1c09796.
Kim, Y.-S., Lee, K. and Paik, K.-W. (2013), “Effects of ACF bonding parameters on ACF joint characteristics for high-speed bonding using ultrasonic bonding method”, IEEE Transactions on Components, Packaging and Manufacturing Technology, Vol. 3 No. 1, pp. 177-182, doi: 10.1109/TCPMT.2012.2224661.
Kim, Y.-S., Nguyen, T.H. and Choa, S.-H. (2022), “Enhancement of the bond strength and reduction of wafer edge voids in hybrid bonding”, Micromachines (Basel), Vol. 13 No. 4, p. 537, doi: 10.3390/mi13040537.
Kim, S., Kang, P., Kim, T., Lee, K., Jang, J., Moon, K., Na, H., Hyun, S. and Hwang, K. (2019), “Cu microstructure of high density Cu hybrid bonding interconnection”, 2019 IEEE 69th Electronic Components and Technology Conference (ECTC), pp. 636-641, doi: 10.1109/ECTC.2019.00101.
Ko, C.-T. and Chen, K.-N. (2012), “Low temperature bonding technology for 3D integration”, Microelectronics Reliability, Vol. 52 No. 2, pp. 302-311, doi: 10.1016/j.microrel.2011.03.038.
Kuwae, H., Yamada, K., Kamibayashi, T., Momose, W., Shoji, S. and Mizuno, J. (2020), “Low-temperature quasi-direct copper–copper bonding with a thin platinum intermediate layer prepared by atomic layer deposition”, Transactions of the Japan Institute of Electronics Packaging, Vol. 13, pp. E19-014-1-E19-014–9, doi: 10.5104/jiepeng.13.E19-014-1.
Lee, D.U., Kim, K.W., Kim, K.W., Lee, K.S., Byeon, S.J., Kim, J.H., Cho, J.H., Lee, J. and Chun, J.H. (2015), “A 1.2 V 8 Gb 8-Channel 128 GB/s high-bandwidth memory (HBM) stacked DRAM with effective I/O test circuits”, IEEE Journal of Solid-State Circuits, Vol. 50 No. 1, pp. 191-203, doi: 10.1109/JSSC.2014.2360379.
Li, H.Y., Kawano, M., Ji, L., Ji, H.M. and Lim, C.S. (2020), “Wafer level back to back hybrid bonding for multiple wafer stacking”, 2020 IEEE 22nd Electronics Packaging Technology Conference (EPTC), pp. 468-471, doi: 10.1109/EPTC50525.2020.9315154.
Liu, Y., Huang, Y. and Duan, X. (2019), “Van der Waals integration before and beyond two-dimensional materials”, Nature, Vol. 567 No. 7748, pp. 323-333, doi: 10.1038/s41586-019-1013-x.
Liu, D., Chen, P.-C., Chou, T.-C., Hu, H.-W. and Chen, K.-N. (2021a), “Demonstration of low-temperature fine-pitch Cu/SiO2 hybrid bonding by Au passivation”, IEEE Journal of the Electron Devices Society, Vol. 9, pp. 868-875, doi: 10.1109/JEDS.2021.3114648.
Liu, C.M., Lin, H.W., Chu, Y.C., Chen, C., Lyu, D.R., Chen, K.N. and Tu, K.N. (2014), “Low-temperature direct copper-to-copper bonding enabled by creep on highly (111)-oriented Cu surfaces”, Scripta Materialia, Vol. 78-79, pp. 65-68, doi: 10.1016/j.scriptamat.2014.01.040.
Liu, D., Chen, P.C., Hsiung, C.K., Huang, S.Y., Huang, Y.P., Verhaverbeke, S., Mori, G. and Chen, K.N. (2020), “Low temperature Cu/SiO2 hybrid bonding with metal passivation”, 2020 IEEE Symposium on VLSI Technology, pp. 1-2, 10.1109/VLSITechnology18217.2020.9265008.
Liu, D., Kuo, T.Y., Liu, Y.W., Hong, Z.J., Chung, Y.T., Chou, T.C., Hu, H.W. and Chen, K.N. (2021b), “Investigation of low-temperature Cu–Cu direct bonding with Pt passivation layer in 3-D integration”, IEEE Transactions on Components, Packaging and Manufacturing Technology, Vol. 11 No. 4, pp. 573-578, doi: 10.1109/TCPMT.2021.3069085.
Lu, C.-H., Jhu, S.-Y., Chen, C.-P., Tsai, B.-L. and Chen, K.-N. (2019), “Asymmetric wafer-level polyimide and Cu/Sn hybrid bonding for 3-D heterogeneous integration”, IEEE Transactions on Electron Devices, Vol. 66 No. 7, pp. 3073-3079, doi: 10.1109/TED.2019.2915332.
Luu, T.-T., Duan, A., Aasmundtveit, K.E. and Hoivik, N. (2013), “Optimized Cu-Sn wafer-level bonding using intermetallic phase characterization”, Journal of Electronic Materials, Vol. 42 No. 12, pp. 3582-3592, doi: 10.1007/s11664-013-2711-z.
Moore, G.E. (2006), “Cramming more components onto integrated circuits, reprinted from electronics, volume 38, number 8, April 19, 1965, pp.114 ff”, IEEE Solid-State Circuits Society Newsletter, Vol. 11 No. 3, pp. 33-35, doi: 10.1109/N-SSC.2006.4785860.
Mu, F., Iguchi, K., Nakazawa, H., Takahashi, Y., Fujino, M., He, R. and Suga, T. (2016), “A comparison study: direct wafer bonding of SiC–SiC by standard surface-activated bonding and modified surface-activated bonding with Si-containing Ar ion beam”, Applied Physics Express, Vol. 9 No. 8, p. 81302, doi: 10.7567/APEX.9.081302.
Murugesan, M., Sone, E., Simomura, A., Motoyoshi, M., Sawa, M., Fukuda, K., Koyanagi, M. and Fukushima, T. (2021), “Cu-Cu direct bonding through highly oriented Cu grains for 3D-LSI applications”, 2021 IEEE International 3D Systems Integration Conference (3DIC), pp. 1-4, doi: 10.1109/3DIC52383.2021.9687604.
Nghiem, G.M., Aasmundtveit, K.E., Kristiansen, H. and Bazilchuk, M. (2018), “Anisotropic conductive film (ACF) bonding: effect of interfaces on contact resistance”, 2018 7th Electronic System-Integration Technology Conference (ESTC), pp. 1-5, doi: 10.1109/ESTC.2018.8546414.
Noma, H., Kamibayashi, T., Kuwae, H., Suzuki, N., Nonaka, T., Shoji, S. and Mizuno, J. (2018), “Compensation of surface roughness using an Au intermediate layer in a Cu direct bonding process”, Journal of Electronic Materials, Vol. 47 No. 9, pp. 5403-5409, doi: 10.1007/s11664-018-6428-x.
Okada, C., Zeituni, G., Uemura, K., Hung, L., Matsuura, K., Moue, T., Kodama, K., Okano, M., Morikawa, T., Yamashita, K. and Oka, O. (2021), “A 50.1-Mpixel 14-Bit 250-frames/s back-illuminated stacked CMOS image sensor with column-parallel kT/C -canceling S&H and ΔΣADC”, IEEE Journal of Solid-State Circuits, Vol. 56 No. 11, pp. 3228-3235, doi: 10.1109/JSSC.2021.3111154.
Ong, J.J., Chiu, W.L., Lee, O.H., Chiang, C.W., Chang, H.H., Wang, C.H., Shie, K.C., Yang, S.C., Tran, D.P., Tu, K.N. and Chen, C. (2022), “Low-temperature Cu/SiO2 hybrid bonding with low contact resistance using (111)-oriented Cu surfaces”, Materials, Vol. 15 No. 5, p. 1888, doi: 10.3390/ma15051888.
Panchenko, I., Wenzel, L., Mueller, M., Rudolph, C., Hanisch, A. and Wolf, J.M. (2022), “Microstructure development of Cu/SiO2 hybrid bond interconnects after reliability tests”, IEEE Transactions on Components, Packaging and Manufacturing Technology, Vol. 12 No. 3, pp. 410-421, doi: 10.1109/TCPMT.2022.3149788.
Panchenko, I., Wambera, L., Mueller, M., Rudolph, C., Hanisch, A., Bartusseck, I. and Wolf, M.J. (2020), “Grain structure analysis of Cu/SiO 2 hybrid bond interconnects after reliability testing”, 2020 IEEE 8th Electronics System-Integration Technology Conference (ESTC), pp. 1-7, doi: 10.1109/ESTC48849.2020.9229743.
Panigrahy, A.K. and Chen, K.-N. (2018), “Low temperature Cu–Cu bonding technology in three-dimensional integration: an extensive review”, Journal of Electronic Packaging, Vol. 140 No. 1, doi: 10.1115/1.4038392.
Park, H., Seo, H. and Kim, S.E. (2020a), “Anti-oxidant copper layer by remote mode N2 plasma for low temperature copper–copper bonding”, Scientific Reports, Vol. 10 No. 1, p. 21720, doi: 10.1038/s41598-020-78396-x.
Park, H., Park, M., Seo, H.K. and Kim, S.E. (2020b), “Development of CMOS-compatible low temperature Cu bonding optimized by the response surface methodology”, 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), pp. 1474-1479, doi: 10.1109/ECTC32862.2020.00233.
Park, H., Yu, C., Kim, H., Roh, Y. and Burm, J. (2020c), “Low power CMOS image sensors using two step single slope ADC with bandwidth-limited comparators & voltage range extended ramp generator for battery-limited application”, IEEE Sensors Journal, Vol. 20 No. 6, pp. 2831-2838, doi: 10.1109/JSEN.2019.2957043.
Rebhan, B., Hesser, G., Duchoslav, J., Dragoi, V., Wimplinger, M. and Hingerl, K. (2013), “Low-temperature Cu-Cu wafer bonding”, ECS Transactions, Vol. 50 No. 7, pp. 139-149, doi: 10.1149/05007.0139ecst.
Rebhan, B., Plach, T., Tollabimazraehno, S., Dragoi, V. and Kawano, M. (2014), “Cu-Cu wafer bonding: an enabling technology for three-dimensional integration”, 2014 International Conference on Electronics Packaging (ICEP), pp. 475-479, doi: 10.1109/ICEP.2014.6826724.
Seo, H., Park, H.S. and Kim, S.E. (2019), “Two-step plasma treatment on sputtered and electroplated Cu surfaces for Cu-to-Cu bonding application”, Applied Sciences, Vol. 9 No. 17, p. 3535, doi: 10.3390/app9173535.
Seo, H.K., Eunkyung Kim, S., Kim, G., Park, H.S. and Park, Y.-B. (2020), “Effects of two-step plasma treatment on Cu and SiO 2 surfaces for 3D bonding applications”, 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), pp. 1677-1683, doi: 10.1109/ECTC32862.2020.00263.
Shie, K.C., Juang, J.-Y. and Chen, C. (2020), “Instant Cu-to-Cu direct bonding enabled by 〈111〉-oriented nanotwinned Cu bumps”, Japanese Journal of Applied Physics, Vol. 59 No. SB, p. SBBA03, doi: 10.7567/1347-4065/ab5697.
Shigetou, A. and Suga, T. (2012), “Vapor-assisted surface activation method for homo- and heterogeneous bonding of Cu, SiO2, and polyimide at 150°C and atmospheric pressure”, Journal of Electronic Materials, Vol. 41 No. 8, pp. 2274-2280, doi: 10.1007/s11664-012-2091-9.
Shigetou, A., Itoh, T., Sawada, K. and Suga, T. (2008), “Bumpless interconnect of 6-$\mu$m-Pitch Cu electrodes at room temperature”, IEEE Transactions on Advanced Packaging, Vol. 31 No. 3, pp. 473-478, doi: 10.1109/TADVP.2008.920644.
Shigetou, A., Mano, A., Mizuno, J. and Suga, T. (2012), “UV/vapor-assisted hybrid bonding technology as a tool for future nanopackaging”, 2012 12th IEEE International Conference on Nanotechnology (IEEE-NANO), pp. 1-5, doi: 10.1109/NANO.2012.6322199.
Shimatsu, T., Uomoto, M. and Kon, H. (2014), “(invited) room temperature bonding using thin metal films (bonding energy and technical potential)”, ECS Transactions, Vol. 64 No. 5, pp. 317-328, doi: 10.1149/06405.0317ecst.
Shulaker, M.M., Hills, G., Park, R.S., Howe, R.T., Saraswat, K., Wong, H.S.P. and Mitra, S. (2017), “Three-dimensional integration of nanotechnologies for computing and data storage on a single chip”, Nature, Vol. 547 No. 7661, pp. 74-78, doi: 10.1038/nature22994.
Suga, T. and Mu, F. (2018),. “Surface activated bonding method for low temperature bonding”, 2018 7th Electronic System-Integration Technology Conference (ESTC), pp. 1-4, doi: 10.1109/ESTC.2018.8546367.
Suga, T., Takahashi, Y., Takagi, H., Gibbesch, B. and Elssner, G. (1992), “Structure of AlAl and AlSi3n4 interfaces bonded at room temperature by means of the surface activation method”, Acta Metallurgica et Materialia, Vol. 40, pp. S133-S137, doi: 10.1016/0956-7151(92)90272-G.
Takagi, H., Utsumi, J., Takahashi, M. and Maeda, R. (2008), “Room-temperature bonding of oxide wafers by Ar-beam surface activation”, ECS Transactions, Vol. 16 No. 8, pp. 531-537, doi: 10.1149/1.2982908.
Takagi, H., Kikuchi, K., Maeda, R., Chung, T.R. and Suga, T. (1996), “Surface activated bonding of silicon wafers at room temperature”, Applied Physics Letters, Vol. 68 No. 16, pp. 2222-2224, doi: 10.1063/1.115865.
Tang, Y.-S., Chang, Y.-J. and Chen, K.-N. (2012), “Wafer-level Cu–Cu bonding technology”, Microelectronics Reliability, Vol. 52 No. 2, pp. 312-320, doi: 10.1016/j.microrel.2011.04.016.
Tang, Y.-S., Chen, H.-C., Kho, Y.-T., Hsieh, Y.-S., Chang, Y.-J. and Chen, K.-N. (2018), “Investigation and optimization of ultrathin buffer layers used in Cu/Sn eutectic bonding”, IEEE Transactions on Components, Packaging and Manufacturing Technology, Vol. 8 No. 7, pp. 1225-1230, doi: 10.1109/TCPMT.2018.2838047.
Tsai, Y.-C., Lee, C.-H. and Chen, K.-N. (2019), “Investigation of low temperature Cu pillar eutectic bonding for 3D chip stacking technology”, 2019 International 3D Systems Integration Conference (3DIC), pp. 1-4, doi: 10.1109/3DIC48104.2019.9058877.
Tsai, Y.-C., Hu, H.-W. and Chen, K.-N. (2020), “Low temperature copper-copper bonding of non-planarized copper pillar with passivation”, IEEE Electron Device Letters, Vol. 41 No. 8, pp. 1229-1232, doi: 10.1109/LED.2020.3001163.
Tseng, I.-H., Shie, K., Tzu-Hung Lin, B., Chang, C.-C. and Chen, C. (2020), “Electromigration in 2 μm redistribution lines and Cu-Cu bonds with highly <111>- oriented nanotwinned Cu”, 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), pp. 479-484, doi: 10.1109/ECTC32862.2020.00083.
Wang, C., Higurashi, E. and Suga, T. (2008), “Void-free room-temperature silicon wafer direct bonding using sequential plasma activation”, Japanese Journal of Applied Physics, Vol. 47 No. 4, pp. 2526-2530, doi: 10.1143/JJAP.47.2526.
Wang, C., Qi, X., Wang, Y., Wu, B. and Tian, Y. (2018a), “Room-temperature direct heterogeneous bonding of glass and polystyrene substrates”, Journal of the Electrochemical Society, Vol. 165 No. 8, pp. B3091-B3097, doi: 10.1149/2.0151808jes.
Wang, Y.-H., Nishida, K., Hutter, M., Kimura, T. and Suga, T. (2007), “Low-temperature process of fine-pitch Au–Sn bump bonding in ambient air”, Japanese Journal of Applied Physics, Vol. 46 No. 4B, pp. 1961-1967, doi: 10.1143/JJAP.46.1961.
Wang, C., Wang, Y., Tian, Y., Wang, C. and Suga, T. (2017), “Room-temperature direct bonding of silicon and quartz glass wafers”, Applied Physics Letters, Vol. 110 No. 22, pp. 221602, doi: 10.1063/1.4985130.
Wang, C., Xu, J., Zeng, X., Tian, Y., Wang, C. and Suga, T. (2018b), “Low-temperature wafer direct bonding of silicon and quartz glass by a two-step wet chemical surface cleaning”, Japanese Journal of Applied Physics, Vol. 57 No. 2S1, p. 2BD02, doi: 10.7567/JJAP.57.02BD02.
Wu, Y.-T. and Chen, C. (2020), “Low temperature Cu-to-Cu bonding in non-vacuum atmosphere with thin gold capping on highly (111) oriented nanotwinned copper”, Journal of Electronic Materials, Vol. 49 No. 1, pp. 13-17, doi: 10.1007/s11664-019-07724-3.
Acknowledgements
The authors would like to thank the financial support from the National Natural Science Foundation of China (Grant No. 92164105 and 51975151), the Heilongjiang Provincial Natural Science Foundation of China under grant LH2019E041 and the Heilongjiang Touyan Innovation Team Program (HITTY-20190013).